Discussion:
Modelsim 6.0 doesn't implement $fseek system task
(too old to reply)
jarodz
2005-03-10 12:25:57 UTC
Permalink
Hi,

The following messages are displayed when I run simulation with modelsim
6.0.

(vsim-PLI-3691) ../TASK/jpegformat.v(72): Expected a system task, not a
system function '$fseek'.

Is this a bug of Modelsim 6.0, or
did I miss some files or setting?


Jarod
s***@cadence.com
2005-03-10 18:44:42 UTC
Permalink
Post by jarodz
Hi,
The following messages are displayed when I run simulation with modelsim
6.0.
(vsim-PLI-3691) ../TASK/jpegformat.v(72): Expected a system task, not a
system function '$fseek'.
From your title and the error message, it appears that you tried to use
$fseek as a task. It is a function, and returns a value. You must call
it as a function.

In other words, you tried to do something like

begin
$fseek(fd,0,0);
end

You must instead do something like

integer code;
begin
code = $fseek(fd,0,0);
end

Or actually use the return value as it was intended

begin
if ($fseek(fd,0,0) == -1)
$display("ERROR: fseek failed");
end

In C, you can use a function call as a statement and the return
value will just get thrown away. An expression is a legal
statement in C. This is not true in Verilog.

Loading...